Инновации в области производства и корпусировки микросхем

28.07.2021
Intel представила один из наиболее детальных за свою историю планов внедрения технологий производства и корпусировки микросхем, продемонстрировав серию фундаментальных инновационных решений, которые будут использоваться в ее продуктах до 2025 года и в дальнейшем.

Помимо анонса RibbonFET – новой транзисторной архитектуры за более чем десятилетие, и PowerVia – первого в отрасли способа подвода питания с обратной стороны кремниевой пластины, компания планирует ускоренное внедрение литографии экстремального ультрафиолетового диапазона нового поколения (Extreme Ultraviolet, EUV), известной как экстремальная ультрафиолетовая литография с высокой числовой апертурой – High Numerical Aperture (High NA) EUV. Intel планирует получить первые в отрасли производственные инструменты High NA EUV.

«Опираясь на передовые разработки Intel в области корпусировки микросхем, мы ускоряем процесс реализации нашей стратегии, чтобы к 2025 году выйти на ведущие позиции в производственных процессах», — сказал генеральный директор Intel Пэт Гелсингер (Pat Gelsinger) во время глобальной трансляции конференции Intel Accelerated. – «Мы используем наш уникальный запас наработок для дальнейшего развития технологических процессов – от транзисторов до системного уровня. До тех пор, пока периодическая таблица не исчерпана, мы будем неустанно следовать Закону Мура и нашему пути к созиданию с помощью магии кремния».

Традиционное наименование норм технологических процессов в нанометрах перестало соответствовать фактическим размерам затворов транзисторов еще в 1997 году. Сегодня Intel представляет новую концепцию наименования своих технологических процессов, которая формирует четкую и согласованную структуру, способную передать заказчикам более точное представление о топологических нормах. Это особенно актуально в связи с запуском Intel Foundry Services (IFS).

«Представленные сегодня инновации будут способствовать реализации планов Intel по выпуску новых продуктов. Они также крайне важны для клиентов нашего бизнеса по контрактному производству полупроводников», – сказал Гелсингер. – «Заинтересованность в IFS высока, и я очень рад, что сегодня мы объявили о двух наших первых крупных заказчиках».

Специалисты Intel представили новые названия технологических норм с инновациями для каждого последующего процесса:

  • Intel 7 обеспечивает увеличение производительности на ватт примерно на 10-15% по сравнению с Intel 10nm SuperFin благодаря оптимизации транзисторов FinFET. Intel 7 будет представлен в таких продуктах как Alder Lake для клиентских систем в 2021 году и Sapphire Rapids для дата-центров, старт производства которых ожидается в первом квартале 2022 года.

  • Intel 4 будет полностью использовать преимущества литографии EUV для формирования чрезвычайно маленьких элементов с применением инструментов экстремального ультрафиолетового диапазона. Intel 4 обеспечит улучшение производительности на ватт примерно на 20% наряду с дальнейшим увеличением плотности элементов на единицу площади. Intel 4 дебютирует в производстве со второй половины 2022 года и впервые появится в коммерческих продуктах 2023 года, таких как Meteor Lake для клиентских систем и Granite Rapids для дата-центров.

  • Intel 3 будет основан на дальнейших оптимизациях технологии FinFET и расширенном применении инструментов EUV для достижения прироста производительности на ватт примерно на 18% по сравнению с Intel 4, наряду с другими улучшениями. Готовность Intel 3 к коммерческому производству ожидается во второй половине 2023 года.

  • Intel 20A станет первым техпроцессом Intel, измеряемым ангстремах. Его реализация будет связана с двумя революционными технологиями – RibbonFET и PowerVia. RibbonFET с окружающим (Gate-All-Around, GAA) затвором станет первой новой транзисторной архитектурой Intel со времен первого внедрения FinFET в 2011 году. Эта технология обеспечивает более высокую скорость переключения транзисторов при меньшей занимаемой площади с током канала, сравнимым с многоканальной конфигурацией. PowerVia – это реализованная Intel первая в отрасли система подачи питания со стороны подложки, позволяющая оптимизировать передачу сигналов за счет избавления от необходимости маршрутизации цепей питания на фронтальной стороне кремниевой пластины. Запуск Intel 20A ожидается в 2024 году. Intel также рада возможности сотрудничества с Qualcomm в использовании технологического процесса Intel 20A.

  • 2025 год и далее: помимо Intel 20A, в стадии разработки также находится процесс Intel 18A, запуск которого ожидается в начале 2025 года с улучшенной технологией RibbonFET для дальнейшего роста производительности транзисторов. В Intel также работают над определением, созданием и внедрением технологии High NA EUV следующего поколения и надеются получить первые в отрасли производственные инструменты на его основе. Для осуществления этого отраслевого прорыва, превосходящего возможности нынешнего поколения технологий EUV, Intel тесно сотрудничает с ASML.

«На протяжении многих лет Intel вносит вклад в развитие фундаментальных технологий, влияющих на стремительное развитие отрасли, – сказала Энн Келлехер (Dr. Ann Kelleher), старший вице-президент и генеральный менеджер Intel по развитию технологий. – Мы осуществили переход к напряженному кремнию на нормах 90 нм, к High-K диэлектрикам и металлическим затворам транзистора на нормах 45 нм и к архитектуре FinFET на 22 нм. Intel 20A станет еще одним знаковым событием в разработке технологий производства благодаря двум революционным инновациям: RibbonFET и PowerVia».

В рамках новой стратегии IDM 2.0 корпусировка становится еще более важным направлением исследований Intel для реализации преимуществ Закона Мура. Intel объявила о том, что AWS будет первым клиентом, использующим решения для корпусировки микросхем IFS, а также подробнее рассказала о планах развития технологий корпусировки микросхем:

  • EMIB – использование небольших соединительных кристаллов с несколькими слоями межсоединений (Embedded Multi-die Interconnect Bridge, EMIB), по-прежнему остается передовой отраслевой технологией с первой практической реализацией мостового межсоединения в 2.5D-структуре. Продукты с применением EMIB поставляются с 2017 года. Sapphire Rapids станет первым массовым продуктом семейства Xeon для дата-центров с технологией EMIB. Он также станет первым в отрасли вдвое масштабированным устройством с уровнем производительности, который сравним с монолитной конструкцией. После Sapphire Rapids появится новое поколение технологии EMIB, где шаг между контактами уменьшится с 55 мкм до 45 мкм.

  • Foveros представляет собой первое в своем роде решение 3D-компоновки для сборки микросхем на уровне кремниевой пластины. Meteor Lake станет вторым поколением клиентских продуктов с использованием Foveros, для них будет характерен шаг контактов 36 мкм, модули с различными нормами технологических процессов и диапазон расчетной тепловой мощности в пределах от 5 до 125 Вт.

  • Foveros Omni – новое поколение технологии Foveros открывает широкие возможности гибкого применения 3D-компоновки для создания модульных конструкций и межсоединений между кристаллами. Foveros Omni позволяет создавать продукты с различными нормами технологического процесса благодаря возможности послойной разборки кристалла и последующей группировки нескольких верхних слоев одного кристалла с несколькими базовыми слоями другого. Готовность Foveros Omni к внедрению в массовое производство ожидается в 2023 году.

  • Foveros Direct обеспечивает переход к прямому соединению меди с медью для формирования межсоединений с низким сопротивлением и стирает переход между кремниевой пластиной и корпусом микросхемы. Foveros Direct позволяет работать с зазором между контактами шириной менее 10 микрон, что обеспечивает увеличение плотности размещения межсоединения для 3D-компоновки на порядок и открывает недостижимые ранее возможности для реализации новых концепций функционального разделения кристаллов. Внедрение Foveros Direct, которая дополняет Foveros Omni, также ожидается в 2023 году.

Представленные технологические достижения были разработаны преимущественно на предприятиях Intel в Орегоне и Аризоне, что подтверждает ведущую роль компании в исследованиях, разработке и производстве полупроводников в США. В дополнение, эти инновации являются итогом тесного сотрудничества с экосистемой партнеров Intel в США и Европе. Прочные партнерские отношения являются основой при внедрении фундаментальных инноваций на протяжении всего пути: от лаборатории до широкомасштабного производства. Intel тесно сотрудничает с правительственными организациями для укрепления каналов поставок продукции, а также обеспечения экономической и национальной безопасности.